Example
Procedure
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity Adder is
port (
A, B: in std_logic;
Sum: out std_logic
);
end entity;
architecture RTL of Adder is
procedure add_numbers(a: in std_logic; b: in std_logic; sum: out std_logic) is
begin
sum <= a xor b;
end add_numbers;
begin
process (A, B)
begin
add_numbers(A, B, Sum);
end process;
end architecture;
Function
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity Adder is
port (
A, B: in std_logic;
Sum: out std_logic
);
end entity;
architecture RTL of Adder is
function add_numbers(a: in std_logic; b: in std_logic) return std_logic is
begin
return a xor b;
end add_numbers;
begin
process (A, B)
begin
Sum <= add_numbers(A, B);
end process;
end architecture;
Impure Function
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.MATH_REAL.ALL;
entity Adder is
port (
Sum: out std_logic
);
end entity;
architecture RTL of Adder is
function random_number return std_logic is
begin
return REAL'(uniform(0.0, 1.0) > 0.5);
end random_number;
begin
process
begin
Sum <= random_number;
end process;
end architecture;