Skip to main content
Advanced Search
Search Terms
Content Type

Exact Matches
Tag Searches
Date Options
Updated after
Updated before
Created after
Created before

Search Results

21 total results found

Tutorial

Fundamental of Digital Circuit

Digital System Design

Basic Programming C

Module 4: Testbench

Digital System Design

Module 5: Structural Style Programming In VHDL

Digital System Design

Module 6: Looping

Digital System Design

Module 7: Procedure, Function, and Impure Function

Digital System Design

Module 7: Array

Basic Programming C

Intro, Types, and Port Mapping

Digital System Design Module 4: Testbench

Introduction In VHDL, a testbench is a module that instantiates the unit under test (UUT) and applies stimulus to it. The stimulus can be a set of input signals, a clock signal, or a reset signal. The testbench also monitors the output signals of the UUT and c...

Testbench, Assert, and Report

Digital System Design Module 4: Testbench

Testbench in Combinational Circuit To use a testbench in a combinational circuit, you need to follow these steps: 1. We must have a VHDL code that to be tested. library ieee; use ieee.std_logic_1164.all; entity UUT is port ( input_signal1 : in std...

File Operations

Digital System Design Module 4: Testbench

Read and Write File In VHDL, you can read and write files using the textio package. The textio package provides procedures and functions for reading and writing text files. You can use the textio package to read data from a file into a variable or write data f...

Structural Style, Port Mapping, and Generic Map

Digital System Design Module 5: Structural Style Programming ...

Structural Style Programming Structural Style Programming in VHDL allows designers to build digital circuits using basic components connected to form a more complex system. In this approach, circuits are represented as collections of entities connected in a sp...

VHDL Modularity

Digital System Design Module 5: Structural Style Programming ...

We will build a 4-bit Ripple Carry Adder using 4 Full Adders in Structural Style Programming. Each Full Adder's carry-out serves as the carry-in for the next Full Adder, creating a ripple effect in addition. Step 1 - Full Adder Entity Inside full adder entity,...

Array and Types in VHDL

Digital System Design Module 5: Structural Style Programming ...

Array In VHDL, an array is a collection of elements that have the same data type. You can think of an array as a variable that has many elements with the same data type, and these elements are indexed for access. The index can be a number or another indexable ...

While Loop and For Loop

Digital System Design Module 6: Looping

What is looping in VHDL? A looping construct (looping statement) in VHDL is an instruction that allows a program to repeat the same block of code iteratively. In VHDL, there are two types of looping constructs: the while-loop and the for-loop. While Loop label...

Loop Control: Next & Exit Statements

Digital System Design Module 6: Looping

The following are two additional statements that can be used to control the looping construct: Next The next statement is used to skip the remaining code in the current iteration of the loop and proceed to the next iteration. In a for-loop, the index variable ...

Procedure and Function

Digital System Design Module 7: Procedure, Function, and Impu...

Procedure in VHDL In VHDL, a "procedure" is a language construct used to group multiple statements and specific tasks into a single block of code. Procedures help organize and understand complex VHDL designs. Procedure Declaration A procedure is defined using ...

Procedure, Function, and Impure Function Synthesis

Digital System Design Module 7: Procedure, Function, and Impu...

In VHDL, both "functions" and "procedures" can be used in the description of hardware. However, it should be understood that hardware synthesis is usually more suitable for implementations based on deterministic and synchronous behavior. Therefore, there are s...

Example

Digital System Design Module 7: Procedure, Function, and Impu...

Procedure library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity Adder is port ( A, B: in std_logic; Sum: out std_logic ); end entity; architecture RTL of Adder is procedure add_numbers(a: in std_logic; b: in std_logic; sum: out std_lo...

Array

Basic Programming C Module 7: Array

Definition An array is a special variable that can store more than one value of the same data type and can be arranged using an index. Arrays can be defined with the syntax: /* defines an array of 10 integers */ int numbers[10]; Accessing the value or data i...